您现在的位置: 范文先生网 >> 理工论文 >> 电子通信论文 >> 正文

PicoBlaze处理器IP Core的原理与应用

时间:2007-1-20栏目:电子通信论文

到原先的状态。

表1 PicoBlaze处理器指令集

控制程序转移指令 循环转移指令 逻辑操作指令 输入/输出指令 81aa JUMP aa
91aa JUMP Z,aa
95aa JUMP NZ,aa
99aa JUMP C,aa
9Daa JUMP NC,aa
83aa CALL aa
93aa CALL Z,aa
97aa CALL NZ,aa
9Baa CALL C,aa
9Faa CALL NC,aa
8080 RETURN
9080 RETURN Z
9480 RETURN NZ
9880 RETURN C
9C80 RETURN NC Dx0E SP0sX
Dx0F SR1sX
Dx0A SRXsX
Dx08 SRAsX
Dx0C RR s
Dx06 SL0sX
Dx07 SL1sX
Dx04 SLXsX
Dx00 SLAsX
Dx02 RL sX 0xkk LOAD sX,kk
1xkk AND sX,kk
2xkk OR sX,kk
3xkk XOR sX,kk
Cxy0 LOAD sX,sY
Cxy1 AND sX,sY
Cxy2 OR sX,sY
Cxy3 XOR sX,sY Axpp INPUT sX,pp
Bxy0 INPUT sX,(sY)
Expp OUTPUT sX,pp
Fxy0 OUTPUT sX,(sY)

算术运算指令

中断指令

4xkk ADD sX,kk
5xkk ADDCY sX,kk
6xkk SUB sX,kk
7xkk SUBCY sX,kk
Cxy4 ADD sX,sY
Cxy5 ADDCY sX,sY
Cxy6 SUB sX,sY
Cxy7 SUBCY sX,sY 80F0 RETURNI REABLE
80D0 RETURNI DISABLE
8030 ENABLE INTERRUPT
8010 DISABLE INTERRUPT

3 PicoBlaze的指令集和调试器

表1列举了所有代表十六进制的PicoBlaze操作码的指令,其中:

①“X”和“Y”代表寄存器,“s”,范围为0~F;

②“kk”代表常量,“aa”代表地址,“pp”代表端口地址,范围均为00~FF。

pBlazIDE(Integrated Development Environment)是PicoBlaze汇编程序的编辑、调试、汇编集成环境。利用它可以方便地仿真所写程序的输入输出、寄存器内值的变化及程序指令的顺序执行过程,便于检查程序的错误。PicoBlaze处理器IP Core应用的VHDL源代码和调试器pBlazeIDE可以从Xilinx网站上直接下载。

4 PicoBlaze的应用系统设计

应用于Spartan-II和Virtex-E器件的PicoBlaze处理器IP Core,外挂一个可存储256条指令的RAM,共同工作。若是用户需要更多的程序存储空间以便执行更加复杂的操作,有以下几种解决方案。
(范文先生网www.fwsir.com收集整理)
    *Xilinx针对Virtex-E器件特别设计了KCPSM2模块,提供1024个地址,且操作32个寄存器。

*若用户的程序超过了256条指令,则可以考虑将设计分解为几个过程,每一个过程由一个单独的PicoBlaze模块(每个拥有自己的RAM)完成。在一些设计中,以一个PicoBlaze为主(Master),其它一个或多个PicoBlaze为辅(Slave)。双端口分布式存储器是在处理器间交换数据的一个很好的选择。

*可选择将超过256个指令的程序分解到两个或多个块状RAM中,用一个选择开关来选择存储了要执行的指令的RAM。最简单的是使用一个被一触发器输出控制的二选一选择器来选择RAM,如图3所示。

上一页  [1] [2] [3] 下一页

下页更精彩:1 2 3 4 下一页